Ubuntu に Icarus Verilog と Gtkwave をインストール | でばぐめ -IT技術メモ-

でばぐめ -IT技術メモ-

主にハードウェア/ソフトウェアのプログラミングに関するブログです。

Ubuntu8.04 に フリーの Verilogシミュレータ(Icarus Verilog) と 波形ビュアー(Gtkwave)を
インストールします。

Icarus Verilog インストール

% sudo apt-get install verilog

Gtkwave インストール

% sudo apt-get install gtkwave

Icarus Verilogのヴァージョンは 0.8.5-1
Gtkwaveのバージョンは 3.1.1

Ubuntu8.04のリポジトリでは上記が最新のようです。(2010/4/24現在)